الگوریتم مسیریابی تحمل پذیر خطا با قابلیت پیش بینی و گذر از انسداد

سال انتشار: 1394
نوع سند: مقاله کنفرانسی
زبان: فارسی
مشاهده: 479

فایل این مقاله در 9 صفحه با فرمت PDF قابل دریافت می باشد

استخراج به نرم افزارهای پژوهشی:

لینک ثابت به این مقاله:

شناسه ملی سند علمی:

ELEMECHCONF03_1043

تاریخ نمایه سازی: 9 مرداد 1395

چکیده مقاله:

رشد بسیار سریع تکنولوژی مدار مجتمع و نیاز به پردازنده های موازی در سال های اخیر ما را با مشکلاتی از جمله سیم بندی بین ترانزیستورها و افزایش سطح مصرفی مواجه کرده است. پیدایش سیستم بر روی تراشه ها توانست این مشکل را تا حدودی برطرف نماید اما استفاده از زیر ساخت های ارتباطی روی تراشه مانند باس ها تاخیر سیم و توان مصرفی را افزایش می دهد. برای حل مشکلات موجود در SOC ها ایده استفاده از شبکه بر روی تراشه مطرح گردید. NOC به عنوان یک طرح ارتباطاتی در سیستم های چند هسته ای مدرن، با هدف تضمین قابلیت اطمینان ارتباطات استفاده می شود.در نتیجه تکنیک های تحمل خطا در NOC از اهمیت بالایی برخوردار است.استفاده از شبکه های دو بعدی در مقیاسه ای بالا توان و تاخیر زیادی به سیستم تحمیل می کند.در این میان استفاده از NOC سه بعدی در سال های اخیر و لزوم بالا بردن قابلیت اطمینان درآنها،احساس نیاز بیشتری را به الگوریتم های تحمل پذیرخطا در این شبکه ها بوجود آورده است.یکی از چالش هایی که طراحان D-NOC 3 بر روی آن تمرکز دارند طراحی روترهایی بامصرف توان پایین، قدرت کافی و سریع است. دراین پژوهش با ارائه پیشنهادهایی در ساختار و عملکرد روتر دو الگوریتم مسیریابی HLAFT و LAFT در NOC سه بعدی، سعی در بهبود شرایط الگوریتم های موجود داریم.

کلیدواژه ها:

نویسندگان

مهسا طریقی

دانشجوی کارشناسی ارشد، دانشگاه صنعتی همدان

عباس رمضانی

استادیار، عضو هیات علمی دانشگاه لرستان

مراجع و منابع این مقاله:

لیست زیر مراجع و منابع استفاده شده در این مقاله را نمایش می دهد. این مراجع به صورت کاملا ماشینی و بر اساس هوش مصنوعی استخراج شده اند و لذا ممکن است دارای اشکالاتی باشند که به مرور زمان دقت استخراج این محتوا افزایش می یابد. مراجعی که مقالات مربوط به آنها در سیویلیکا نمایه شده و پیدا شده اند، به خود مقاله لینک شده اند :
  • شبکه بر روی تراشه و مروری بر الگوریتم های مسیریابی تحمل پذیر خطا [مقاله کنفرانسی]
  • Sun G., Dong X., Xie Y., Li J., Chen Y.(2009);"A ...
  • Neetu Soni, Khemraj Deshmukh, "A Survey On Different Topologies, Switching ...
  • Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg and Hannu Tenhunen, "Fault- ...
  • T. Dumitras, S. Kerner, and R. Marculescu, "Towards On-Chip Fault-Tolerat ...
  • Ben Ahmed A., Ben Abdallah A.(20 12); _ 'Low-overhead routing ...
  • Ben Ahmed A., Ben Abdallah A.(2012);" LA-XYZ: low latency, high ...
  • Carloni L.P., Pande P., Xie Y.(2009); _ _ Networks -on-chip ...
  • Philip G., Christopher B., Ramm P.(2008); »Handbook of 3D Integration: ...
  • _ X..Zhao W., Nakamoto M. , Nimmagadda C., Lisk D., ...
  • Dong X., Wu X., Sun G., Xie Y., Li H., ...
  • Ben Ahmed A., Ben Abdallah A.(2013); "Fault-tolerat routing algorithm with ...
  • Ben Ahmed A., Ben Abdallah A.(2014);" Graceful deadlock-fre fault-tolerant routing ...
  • Ben Ahmed A..Ben Abdallah A.(2013);" Architecture and design of high- ...
  • نمایش کامل مراجع