Decoding the Role of Dynamic Voltage Scaling (DVS)

سال انتشار: 1392
نوع سند: مقاله کنفرانسی
زبان: انگلیسی
مشاهده: 793

فایل این مقاله در 9 صفحه با فرمت PDF قابل دریافت می باشد

استخراج به نرم افزارهای پژوهشی:

لینک ثابت به این مقاله:

شناسه ملی سند علمی:

NCCEB01_106

تاریخ نمایه سازی: 18 خرداد 1393

چکیده مقاله:

Power-aware and energy-efficient designs play an important role for new generations of digital systems to make battery lifetime longer. Accordingly, a number of power management techniques have been studied and developed yet. One such method is dynamic voltage scaling (DVS). With the advanced technology of VLSI circuit designs, amodern processor might operate at different supply voltages by adopting the DVS technique, and, hence, the frequency of the processor can change dynamically. Plenty of works has been done about DVS and a great number of techniques have been proposed until now. The proposed techniques are being devised at all levels of systemdesign, from the circuit and device level, to the architectural, compiler and operating system. Real-time systems are popular platforms for DVS utilization due to their requirements. Task scheduling is almost the heart of real-time operating systems, and so energy-efficient scheduling is an important concern in real-time embedded systems. In this project, we survey the DVS techniques in real-time systems, in addition to the most recent works on power management in embedded systems.

کلیدواژه ها:

نویسندگان

Motahareh Moravej

University of Tehran، Electronic and Computer Engineering Faculty

مراجع و منابع این مقاله:

لیست زیر مراجع و منابع استفاده شده در این مقاله را نمایش می دهد. این مراجع به صورت کاملا ماشینی و بر اساس هوش مصنوعی استخراج شده اند و لذا ممکن است دارای اشکالاتی باشند که به مرور زمان دقت استخراج این محتوا افزایش می یابد. مراجعی که مقالات مربوط به آنها در سیویلیکا نمایه شده و پیدا شده اند، به خود مقاله لینک شده اند :
  • و نخبگان واحد شوشتر _ اسفند ماه 1392 ...
  • IEEE international ا14 Components, " _ _ conference On Emerging ...
  • و نخبگان واحد شوشتر _ اسفند ماه 1392 ...
  • D. Shin, S. Lee, and J. "Kim. Intra-task voltage scheduling ...
  • D. Shin and J. Kim, _ profile-based _ ergy-efficient intra-task ...
  • S. Lee, T. Sakurai, "Run-time Voltage Hopping for Low Power ...
  • A. Azevedo et al. "Profile-based dynamic voltage scheduling using program ...
  • D. Shin et al., "Optimizing intra task voltage scheduling using ...
  • J.-J. Chen and T.-W. Kuo. _ 'Procrastination for leakage- aware ...
  • Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES), ...
  • D. Zhu and H. Aydin. _ Reli ability-aware energy management ...
  • WP Lee, HY Liu, YW Chang, "Voltage-Island Partitioning and Floor ...
  • H Wu, MDF Wong, "Incremental Improvement of Voltage ...
  • Assignment, " IEEE Trans on CAD vol. 28, no. 2, ...
  • CY Yang, JJ Chen, TW Kuo, L Thiele, "Energy Reduction ...
  • Y. Cho, and N. Chang. "Energy-Aware Clock- Frequency Assignment in ...
  • Foad Dabiri , Alireza Vahdatpour , Miodrag Potkonjak , Majid ...
  • C. Xian and Y.-H. Lu "Dynamic Voltage Scaling for Multitasking ...
  • Y. Zhu and F. Mueller, "Feedback EDF Scheduling Exploiting Dynamic ...
  • C. Poellabauer, L. Singleton, and K. Schwan. _ _ Feedb ...
  • SY Bang, K Bang, S Yoon, EY Chung, " Run-Time ...
  • IEEE Real-Time and Embedded Technology and Applications Symposium, 2007. ...
  • D. Zhu, H. Aydin, and J.-J. Che. "Optimistic reliability aware ...
  • D. Zhu, X. Qi, and H. Aydin. _ _ Priority- ...
  • Sridharan, R., et al., _ :Feedb ack-Controlled Reliability- Aware Power ...
  • J.-J. Chen, T.-W. Kuo, C.-L. Yang, and K.-J. King. _ ...
  • Z. Shao, M. Wang, Y. Chen, C. Xue, M. Qiu, ...
  • Dongkun Shin and Jihong Kim, "Power-aware scheduling of conditionl task ...
  • Changjiu, X., Yung-Hsiang, _ and Zhiyuan, L. "Energy-aware scheduling for ...
  • J.-J. Chen and T.-W. Kuo. "Allocation cost minimization for periodic ...
  • Hyunjin Kim et al., _ Total Energy Minimization of Rea]-Time ...
  • C.-L. Chou and R. Marculescu, "Energy- and p erformanc e-aware ...
  • M Ruggiero, D Bertozzi, L Benini, M Milano, A Andrei, ...
  • V O Itag e/Frequency MPSoC Platforms, " IEEE Trans _ ...
  • H Wu, MDF Wong, IM Liu, Y Wang, "Placement- Proximity-B ...
  • B Amelifard, M Pedram, "Optimal Design of the Po wer-Delivery ...
  • D.Sengupta and R.A.Saleh. _ App lication-Driven Voltage-Island Partitioning for Low-Power ...
  • نمایش کامل مراجع